keyvalue
id399399609
nameverible-linter-action
full_namechipsalliance/verible-linter-action
html_urlhttps://github.com/chipsalliance/verible-linter-action
descriptionAutomatic SystemVerilog linting in github actions with the help of Verible
created_atAug. 24, 2021, 9 a.m.
updated_atSept. 26, 2024, 6:11 p.m.
pushed_atJuly 5, 2024, 2:36 p.m.
size43
stargazers_count25
watchers_count11
forks_count11
open_issues2
languagePython
awesome_list

https://github.com/analysis-tools-dev/static-analysis