verilog-mode in emacs-tw/awesome-emacs

Verilog-Mode for Emacs with Indentation, Hightlighting and AUTOs. Master repository for pushing to GNU, verilog.com and veripool.org.

updated at May 23, 2024, 3:05 p.m.

SystemVerilog

30 +0

240 +0

89 +0

GitHub