verilog-mode in emacs-tw/awesome-emacs

Verilog-Mode for Emacs with Indentation, Hightlighting and AUTOs. Master repository for pushing to GNU, verilog.com and veripool.org.

updated at June 21, 2024, 12:31 a.m.

SystemVerilog

31 +0

245 +2

89 +0

GitHub