verilog-mode in emacs-tw/awesome-emacs

Verilog-Mode for Emacs with Indentation, Hightlighting and AUTOs. Master repository for pushing to GNU, verilog.com and veripool.org.

updated at June 14, 2024, 11:51 a.m.

SystemVerilog

31 +0

243 +1

89 +0

GitHub