verilog-mode in emacs-tw/awesome-emacs

Verilog-Mode for Emacs with Indentation, Hightlighting and AUTOs. Master repository for pushing to GNU, verilog.com and veripool.org.

updated at May 3, 2024, 12:09 p.m.

SystemVerilog

30 +0

240 +0

88 +0

GitHub